Search concepts
|
Selected filters
|
- 900 - 950 out of 85,813 results
Search results
-
A 0.5V 10MHz-to-100MHz 0.47μz power scalable AD-PLL in 40nm CMOS
Hiraku, Y., Hayashi, I., Chung, H., Kuroda, T. & Ishikuro, H., 2012, p. 33-36. 4 p.Research output: Contribution to conference › Paper › peer-review
11 Citations (Scopus) -
A 0.5-v 5.2-fj/conversion-step full asynchronous sar adc with leakage power reduction down to 650 pw by boosted self-power gating in 40-nm CMOS
Sekimoto, R., Shikata, A., Yoshioka, K., Kuroda, T. & Ishikuro, H., 2013, In: IEEE Journal of Solid-State Circuits. 48, 11, p. 2628-2636 9 p., 6578607.Research output: Contribution to journal › Article › peer-review
30 Citations (Scopus) -
A 0.5V 65nm-CMOS single phase clocked bootstrapped switch with rise time accelerator
Shikata, A., Sekimoto, R. & Ishikuro, H., 2010 Dec 1, Proceedings of the 2010 Asia Pacific Conference on Circuit and System, APCCAS 2010. p. 1015-1018 4 p. 5774976. (IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 0.5V 6-bit scalable phase interpolator
Kumaki, S., Johari, A. H., Matsubara, T., Hayashi, I. & Ishikuro, H., 2010 Dec 1, Proceedings of the 2010 Asia Pacific Conference on Circuit and System, APCCAS 2010. p. 1019-1022 4 p. 5775034. (IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
23 Citations (Scopus) -
A 0.6V noise rejectable all-digital CDR with free running TDC for a pulse-based inductive-coupling interface
Yun, W. J., Ishikuro, H. & Kuroda, T., 2011 Dec 1, 2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011. p. 145-148 4 p. 6123623. (2011 Proceedings of Technical Papers: IEEE Asian Solid-State Circuits Conference 2011, A-SSCC 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 0.79mm2 29mW real-time face detection core
Hori, Y., Kusaka, M. & Kuroda, T., 2006 Dec 1, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers. p. 150-151 2 p. 1705354. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 0.79-mm2 29-mW real-time face detection core
Hori, Y. & Kuroda, T., 2007 Apr, In: IEEE Journal of Solid-State Circuits. 42, 4, p. 790-797 8 p.Research output: Contribution to journal › Article › peer-review
13 Citations (Scopus) -
A 0.7V 12b 160MS/s 12.8fJ/conv-step pipelined-SAR ADC in 28nm CMOS with digital amplifier technique
Yoshioka, K., Sugimoto, T., Waki, N., Kim, S., Kurose, D., Ishii, H., Furuta, M., Sai, A. & Itakura, T., 2017 Mar 2, 2017 IEEE International Solid-State Circuits Conference, ISSCC 2017. Fujino, L. C. (ed.). Institute of Electrical and Electronics Engineers Inc., p. 478-479 2 p. 7870469. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 60).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
32 Citations (Scopus) -
A 0.7V 20fJ/bit inductive-coupling data link with dual-coil transmission scheme
Miura, N., Shidei, T., Yuxiang, Y., Kawai, S., Takatsu, K., Kiyota, Y., Asano, Y. & Kuroda, T., 2010 Oct 22, 2010 Symposium on VLSI Circuits, VLSIC 2010. p. 201-202 2 p. 5560299. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
10 Citations (Scopus) -
A 0.7V 4.1mW 850Mbps/ch inductive-coupling transceiver with adaptive pulse width controller in 65nm CMOS
Matsubara, T., Hayashi, I., Johari, A. H., Kuroda, T. & Ishikuro, H., 2012 May 11, RWW 2012 - Proceedings: IEEE Radio and Wireless Symposium, RWS 2012. p. 71-74 4 p. 6175333. (RWW 2012 - Proceedings: IEEE Radio and Wireless Symposium, RWS 2012).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 0.7V intermittently operating LNA with optimal on-time controller for pulse-based inductive-coupling transceiver
Jyo, T., Kuroda, T. & Ishikuro, H., 2013 Sept 9, Proceedings of the 2013 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2013. p. 21-24 4 p. 6569511. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 0.8V 1.1pJ/bit inductive-coupling receiver with pulse extracting clock recovery circuit and intermittently operating LNA
Jyo, T., Kuroda, T. & Ishikuro, H., 2013 May 1, RSW 2013 - 2013 IEEE Radio and Wireless Symposium - RWW 2013. p. 217-219 3 p. 6486693. (IEEE Radio and Wireless Symposium, RWS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 0.8-μm BiCMOS sea-of-gates implementation of the tandem banyan fast packet switch
Chiussi, F. M., Amano, H. & Tobagi, F. A., 1991 Dec 1, Proceedings of the Custom Integrated Circuits Conference. Publ by IEEE, (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 0.9-V, 150-MHz, 10-mW, 4 mm", 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme
Kuroda, T., Fujita, T., Mita, S., Nagamatsu, T., Yoshioka, S., Suzuki, K., Sano, F., Norishima, M., Murota, M., Kako, M., Kinugawa, M., Kakumu, M. & Sakurai, T., 1996 Nov, In: IEEE Journal of Solid-State Circuits. 31, 11, p. 1770-1777 8 p.Research output: Contribution to journal › Article › peer-review
320 Citations (Scopus) -
A 0.9-V, 150-MHz, 10-mW, 4 mm2, 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage (VT) Scheme
Kuroda, T., Fujita, T., Mita, S., Nagamatsu, T., Yoshioka, S., Suzuki, K., Sano, F., Norishima, M., Murota, M., Kako, M., Kinugawa, M., Kakumu, M. & Sakurai, T., 1998 Jan 1, Low-Power CMOS Design. John Wiley and Sons Inc., p. 97-104 8 p.Research output: Chapter in Book/Report/Conference proceeding › Chapter
-
A 1.26mW/Gbps 8 locking cycles versatile all-digital CDR with TDC combined DLL
Urano, Y., Yun, W. J., Kuroda, T. & Ishikuro, H., 2013 Sept 9, 2013 IEEE International Symposium on Circuits and Systems, ISCAS 2013. p. 1576-1579 4 p. 6572161. (Proceedings - IEEE International Symposium on Circuits and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
10 Citations (Scopus) -
A 1.2Gb/s/pin wireless superconnect based on inductive inter-chip signaling (IIS)
Mizoguchi, D., Yusof, Y. B., Miura, N., Sakurai, T. & Kuroda, T., 2003 Dec 1, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 47, p. 104-105 2 p.Research output: Contribution to journal › Conference article › peer-review
4 Citations (Scopus) -
A 1.2Gb/s/pin wireless superconnect based on Inductive Inter-chip Signaling (IIS)
Mizoguchi, D., Yusof, Y. B., Miura, N., Sakurai, T. & Kuroda, T., 2004 Jun 2, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 47, p. 142-143+131+517Research output: Contribution to journal › Conference article › peer-review
84 Citations (Scopus) -
A 1.2 Gbps non-contact 3D-stacked inter-chip data communications technology
Mizoguchi, D., Miura, N., Sakurai, T. & Kuroda, T., 2006, In: IEICE Transactions on Electronics. E89-C, 3, p. 320-326 7 p.Research output: Contribution to journal › Article › peer-review
-
A 1.2-V CMOS complex bandpass filter with a tunable center frequency
Majima, H., Ishikuro, H., Agawa, K. & Hamada, M., 2005 Dec 1, Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference. p. 327-330 4 p. 1541626. (Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
14 Citations (Scopus) -
A 1.5 Gbit/s GaAs Four-Channel Selector LSI with Monolithically Integrated Newly Structured GaAs Ohmic Contact MSM Photodetector and Laser Driver
Yamanaka, N. & Takada, T., 1989 Oct, In: IEEE Photonics Technology Letters. 1, 10, p. 310-312 3 p.Research output: Contribution to journal › Article › peer-review
-
A 1.6 GS/s 3.17 mW 6-b passive pipelined binary-search ADC with memory effect canceller and reference voltage calibration
Tanaka, K., Saito, R. & Ishikuro, H., 2015 Oct 30, ESSCIRC 2015 - Proceedings of the 41st European Solid-State Circuits Conference. Dielacher, F., Pribyl, W. & Hueber, G. (eds.). IEEE Computer Society, p. 327-330 4 p. 7313893. (European Solid-State Circuits Conference; vol. 2015-October).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 1.8-Gb/s GaAs Optoelectronic Universal Switch LSI with Monolithically Integrated Photodetector and Laser Driver
Yamanaka, N., Kikuchi, S. & Takada, T., 1992 Aug, In: Journal of Lightwave Technology. 10, 8, p. 1162-1166 5 p.Research output: Contribution to journal › Article › peer-review
-
A 100-Gb/s throughput ATM switch MCM with a 320-channel parallel optical I/O interface
Kawano, R., Yamanaka, N., Oki, E., Yasukawa, S., Okazaki, K., Ohki, A., Usui, M., Sato, N., Katsura, K., Ando, Y., Kagawa, T. & Hikita, M., 2001 Feb, In: IEEE Transactions on Advanced Packaging. 24, 1, p. 91-98 8 p.Research output: Contribution to journal › Article › peer-review
2 Citations (Scopus) -
A 100-Gb-Ethernet subsystem for next-generation metro-area network
Toyoda, H., Nishimura, S., Okuno, M., Yamaoka, R. & Nishi, H., 2005 Sept 15, In: IEEE International Conference on Communications. 2, p. 1036-1042 7 p.Research output: Contribution to journal › Conference article › peer-review
4 Citations (Scopus) -
A 100Mb/s 13.7pJ/bit DC-960MHz band plesiochronous IR-UWB receiver with Costas-loop based synchronization scheme in 65nm CMOS
Liu, L., Ishikuro, H. & Kuroda, T., 2012 Nov 26, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012. 6330586. (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 107pJ/b 100kb/s 0.18μm capacitive-coupling transceiver for printable communication sheel
Liu, L., Takamiya, M., Sekitani, T., Noguchi, Y., Nakano, S., Zaitsu, K., Kuroda, T., Someya, T. & Sakurai, T., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. Institute of Electrical and Electronics Engineers Inc., p. 291-293 3 p. 4523172. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
8 Citations (Scopus) -
A 107-pJ/bit 100-kb/s 0.18-μm capacitive-coupling transceiver with data edge signaling and DC power-free pulse detector for printable communication sheet
Liu, L., Takamiya, M., Sekitani, T., Noguchi, Y., Nakano, S., Zaitsu, K., Kuroda, T., Someya, T. & Sakurai, T., 2009, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 56, 11, p. 2511-2518 8 p., 4785181.Research output: Contribution to journal › Article › peer-review
3 Citations (Scopus) -
A 10-bit 80-MS/s decision-select successive approximation TDC in 65-nm CMOS
Chung, H., Ishikuro, H. & Kuroda, T., 2012 May, In: IEEE Journal of Solid-State Circuits. 47, 5, p. 1232-1241 10 p., 6151852.Research output: Contribution to journal › Article › peer-review
61 Citations (Scopus) -
A 10 Gb/s firewall system for network security in photonic era
Katayama, M., Kai, H., Yoshida, J., Inami, M., Yamada, H., Shiomoto, K. & Yamanaka, N., 2005, In: IEICE Transactions on Communications. E88-B, 5, p. 1914-1919 6 p.Research output: Contribution to journal › Article › peer-review
5 Citations (Scopus) -
A 10Gb/s receiver with equalizer and on-chip ISI monitor in 0.11μm CMOS
Tomita, Y., Kibune, M., Ogawa, J., Walker, W. W., Tamura, H. & Kuroda, T., 2004 Sept 29, p. 202-205. 4 p.Research output: Contribution to conference › Paper › peer-review
23 Citations (Scopus) -
A 10-Gb/s receiver with series equalizer and on-chip ISI monitor in 0.11-μm CMOS
Tomita, Y., Kibune, M., Ogawa, J., Walker, W. W., Tamura, H. & Kuroda, T., 2005 Apr, In: IEEE Journal of Solid-State Circuits. 40, 4, p. 986-993 8 p.Research output: Contribution to journal › Article › peer-review
26 Citations (Scopus) -
A 10Gb/s wire-speed firewall system using reconfigurable processors
Katayama, M., Kai, H., Yoshida, J., Yamada, H., Shiomoto, K. & Yamanaka, N., 2005, In: IEEE International Conference on Communications. 1, p. 300-305 6 p.Research output: Contribution to journal › Conference article › peer-review
1 Citation (Scopus) -
A 12.4TOPS/W, 20% Less Gate Count Bidirectional Phase Domain MAC Circuit for DNN Inference Applications
Toyama, Y., Yoshioka, K., Ban, K., Sai, A. & Onizuka, K., 2018 Dec 14, 2018 IEEE Asian Solid-State Circuits Conference, A-SSCC 2018 - Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 1-4 4 p. 8579253. (2018 IEEE Asian Solid-State Circuits Conference, A-SSCC 2018 - Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 12.5Gb/s/link non-contact multi drop bus system with impedance-matched transmission line couplers and dicode partial-response channel transceivers
Kosuge, A., Mizuhara, W., Miura, N., Taguchi, M., Ishikuro, H. & Kuroda, T., 2013 May 20, 2013 18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013. p. 91-92 2 p. 6509571. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 12.5Gb/s/link non-contact multi drop bus system with impedance-matched transmission line couplers and dicode partial-response channel transceivers
Kosuge, A., Mizuhara, W., Miura, N., Taguchi, M., Ishikuro, H. & Kuroda, T., 2012 Nov 26, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012. 6330611. (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 12.5 Gbps CDR with differential to common converting edge detector for the wired and wireless serial link
Kohira, K. & Ishikuro, H., 2016 Apr, In: IEICE Transactions on Electronics. E99C, 4, p. 458-465 8 p.Research output: Contribution to journal › Article › peer-review
2 Citations (Scopus) -
A 12Gb/s non-contact interface with coupled transmission lines
Takeya, T., Nan, L., Nakano, S., Miura, N., Ishikuro, H. & Kuroda, T., 2011 May 12, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. p. 492-493 2 p. 5746411. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
24 Citations (Scopus) -
A 12-Gb/s non-contact interface with coupled transmission lines
Takeya, T., Nan, L., Nakano, S., Miura, N., Ishikuro, H. & Kuroda, T., 2013, In: IEEE Journal of Solid-State Circuits. 48, 3, p. 790-800 11 p., 6466437.Research output: Contribution to journal › Article › peer-review
13 Citations (Scopus) -
A 13.56-MHz Wireless Power Transfer System With Enhanced Load-Transient Response and Efficiency by Fully Integrated Wireless Constant-Idle-Time Control for Biomedical Implants
Huang, C., Kawajiri, T. & Ishikuro, H., 2018 Feb, In: IEEE Journal of Solid-State Circuits. 53, 2, p. 538-551 14 p., 8116746.Research output: Contribution to journal › Article › peer-review
46 Citations (Scopus) -
A 13b SAR ADC with eye-opening VCO based comparator
Yoshioka, K. & Ishikuro, H., 2014 Oct 31, ESSCIRC 2014 - Proceedings of the 40th European Solid-State Circuit Conference. Andreani, P., Bevilacqua, A. & Meneghesso, G. (eds.). IEEE Computer Society, p. 411-414 4 p. 6942109. (European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
28 Citations (Scopus) -
A 13-week toxicity study of bismuth in rats by intratracheal intermittent administration
Sano, Y., Satoh, H., Chiba, M., Shinohara, A., Okamoto, M., Serizawa, K., Nakashima, H. & Omae, K., 2005 May, In: Journal of occupational health. 47, 3, p. 242-248 7 p.Research output: Contribution to journal › Article › peer-review
9 Citations (Scopus) -
A 14-GHz AC-coupled clock distribution scheme with phase averaging technique using single LC-VCO and distributed phase interpolators
Niitsu, K., Kulkarni, V. V., Kang, S., Ishikuro, H. & Kuroda, T., 2011 Nov, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 19, 11, p. 2058-2066 9 p., 5590276.Research output: Contribution to journal › Article › peer-review
4 Citations (Scopus) -
A 14-year-old healthy boy with splenic abscess due to Salmonella enterica serovar Senftenberg
Namba, S., Matsubara, K., Asagai, S., Shoji, M., Okada, T., Matsushima, T., Komiyama, O. & Iwata, S., 2010 Jan, In: Kansenshōgaku zasshi. The Journal of the Japanese Association for Infectious Diseases. 84, 1, p. 69-72 4 p.Research output: Contribution to journal › Article › peer-review
Open Access1 Citation (Scopus) -
A 14-year review of orbital tumor in 56 infants and children
Noda, M., Araki, B. & Nakamura, Y., 2003, In: Japanese Journal of Clinical Ophthalmology. 57, 6, p. 951-954 4 p.Research output: Contribution to journal › Article › peer-review
-
A 150 nm ultraviolet excitation volume on a porous silicon membrane for direct optical observation of dna coil relaxation during capture into nanopores
Yamazaki, H., Esashika, K. & Saiki, T., 2017 Jun 1, In: Nano Futures. 1, 1, 011001.Research output: Contribution to journal › Letter › peer-review
5 Citations (Scopus) -
A 15-Year-Old Boy With Fever and Gait Disturbance
Aoyama, S., Ohnishi, T., Sato, S., Uejima, Y. & Suganuma, E., 2023, (Accepted/In press) In: Clinical Pediatrics.Research output: Contribution to journal › Comment/debate › peer-review
-
A 160 GB/s ATM switch using internal speed-up crossbar switch architecture
Genda, K., Yamanaka, N., Doi, Y. & Endo, K., 1997 Sept, In: Electronics and Communications in Japan, Part I: Communications (English translation of Denshi Tsushin Gakkai Ronbunshi). 80, 9, p. 68-79 12 p.Research output: Contribution to journal › Article › peer-review
-
A 192Arg variant of the human paraoxonase (HUMPONA) gene polymorphism is associated with an increased risk for coronary artery disease in the Japanese
Zama, T., Murata, M., Matsubara, Y., Kawano, K., Aoki, N., Yoshino, H., Watanabe, G., Ishikawa, K. & Ikeda, Y., 1997, In: Arteriosclerosis, Thrombosis, and Vascular Biology. 17, 12, p. 3565-3569 5 p.Research output: Contribution to journal › Article › peer-review
79 Citations (Scopus) -
A 195Gb/s 1.2W 30-stacked inductive inter-chip wireless superconnect with transmit power control scheme
Miura, N., Mizoguchi, D., Inoue, M., Tsuji, H., Sakurai, T. & Kuroda, T., 2005 Dec 6, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 48, p. 210-211+602 14.5.Research output: Contribution to journal › Conference article › peer-review
8 Citations (Scopus)