Search concepts
|
Selected filters
|
- 1,000 - 1,050 out of 83,479 results
Search results
-
A 40-Gb/s CMOS clocked comparator with bandwidth modulation technique
Okaniwa, Y., Tamura, H., Kibune, M., Yamazaki, D., Cheung, T. S., Ogawa, J., Tzartzanis, N., Walker, W. W. & Kuroda, T., 2005 Aug, In: IEEE Journal of Solid-State Circuits. 40, 8, p. 1680-1686 7 p.Research output: Contribution to journal › Article › peer-review
39 Citations (Scopus) -
A 40nm 50S/s-8MS/s ultra low voltage SAR ADC with timing optimized asynchronous clock generator
Sekimoto, R., Shikata, A., Kuroda, T. & Ishikuro, H., 2011 Dec 12, ESSCIRC 2011 - Proceedings of the 37th European Solid-State Circuits Conference. p. 471-474 4 p. 6045009. (European Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
13 Citations (Scopus) -
A 40nm CMOS full asynchronous nano-watt SAR ADC with 98% leakage power reduction by boosted self power gating
Sekimoto, R., Shikata, A., Yoshioka, K., Kuroda, T. & Ishikuro, H., 2012 Dec 1, p. 161-164. 4 p.Research output: Contribution to conference › Paper › peer-review
4 Citations (Scopus) -
A 40-to-44Gb/s 3× oversampling CMOS CDR/1:16 DEMUX
Nedovic, N., Tzartzanis, N., Tamura, H., Rotella, F., Wiklund, M., Mizutani, Y., Okanlwa, Y., Kuroda, T., Ogawa, J. & Walker, W., 2007, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 224-226 3 p. 4242346. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
8 Citations (Scopus) -
A 4-10 bit, 0.4-1V Power Supply, Power Scalable Asynchronous SAR-ADC in 40nm-CMOS withWide Supply Voltage Range SAR Controller
Shikata, A., Sekimoto, R., Yoshioka, K., Kuroda, T. & Ishikuro, H., 2013 Feb, In: IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. E96-A, 2, p. 443-452 10 p.Research output: Contribution to journal › Article › peer-review
3 Citations (Scopus) -
A 5.184Gbps/ch through-chip interface and automated place-and-route design methodology for 3-D integration of 45nm CMOS processors
Shimazaki, Y., Miura, N. & Kuroda, T., 2012 Jul 25, Symposium on Low-Power and High-Speed Chips - Proceedings for 2012 IEEE COOL Chips XV. 6216583. (Symposium on Low-Power and High-Speed Chips - Proceedings for 2012 IEEE COOL Chips XV).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 50-year-old man with ulcerative colitis and severe anemia.
Saito, H., Inoue, J., Yamaguchi, W., Tashiro, H., Miura, S., Hibi, T., Tsuchiya, M., Handa, M., Nakatsuka, S. & Kuramochi, S., 1992 Dec, In: The Keio Journal of Medicine. 41, 4, p. 225-232 8 p.Research output: Contribution to journal › Article › peer-review
-
A 52 mm cubic scintillating fiber camera with 75mmΦ gated-image intensifier as a dosimeter in space
Matsumoto, H., Kawakami, H., Terasawa, K., Doke, T., Goka, T., Zhao, W., Song, Q., Ye, B., Ni, H., Ferguson, I., Howorth, J., Nozaki, T. & Uchihori, Y., 2006, Infrared and Photoelectronic Imagers and Detector Devices II. 62940Y. (Proceedings of SPIE - The International Society for Optical Engineering; vol. 6294).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 53-Gbit/s/ch Active Optical Cable Utilizing GI Polymer Waveguide for High-density On-board Optical Interconnects
Kohmu, N., Ishii, M. & Ishigure, T., 2019 Nov, 2019 IEEE CPMT Symposium Japan, ICSJ 2019. Institute of Electrical and Electronics Engineers Inc., p. 31-34 4 p. 8998717. (2019 IEEE CPMT Symposium Japan, ICSJ 2019).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A561C polymorphism of E-selectin is associated with ischemic cerebrovascular disease in the Japanese population without diabetes mellitus and hypercholesterolemia
Hattori, H., Sato, H., Ito, D., Tanahashi, N., Murata, M., Saito, I., Watanabe, K. & Suzuki, N., 2006 Sep 7, In: Brain Research. 1108, 1, p. 221-223 3 p.Research output: Contribution to journal › Article › peer-review
14 Citations (Scopus) -
A 59-year-old man with an abnormal shadow in the right lung.
Kobayashi, K., Kohda, E., Sakamoto, M., Hosoda, Y., Iino, K., Kikuchi, H., Iri, H. & Nakayama, M., 1991 Sep, In: The Keio Journal of Medicine. 40, 3, p. 160-166 7 p.Research output: Contribution to journal › Article › peer-review
-
A 5-hydroxyoxindole derivative attenuates LPS-induced inflammatory responses by activating the p38-Nrf2 signaling axis
Niino, T., Tago, K., Yasuda, D., Takahashi, K., Mashino, T., Tamura, H. & Funakoshi-Tago, M., 2018 Sep, In: Biochemical Pharmacology. 155, p. 182-197 16 p.Research output: Contribution to journal › Article › peer-review
8 Citations (Scopus) -
A 5' segment of the mouse Zic1 gene contains a region specific enhancer for dorsal hindbrain and spinal cord
Aruga, J., Shimoda, K. & Mikoshiba, K., 2000 May 31, In: Molecular Brain Research. 78, 1-2, p. 15-25 11 p.Research output: Contribution to journal › Article › peer-review
13 Citations (Scopus) -
A 6.5Gb/s Shared bus using electromagnetic connectors for downsizing and lightening satellite processor system by 60%
Kosuge, A., Ishizuka, S., Abe, M., Ichikawa, S. & Kuroda, T., 2015 Mar 17, 2015 IEEE International Solid-State Circuits Conference, ISSCC 2015 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 434-435 2 p. 7063112. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 58).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 60-GHz 1.65mW 25.9% Locking range multi-order LC oscillator based injection locked frequency divider in 65nm CMOS
Takatsu, K., Tamura, H., Yamamoto, T., Doi, Y., Kanda, K., Shibasaki, T. & Kuroda, T., 2010 Dec 13, IEEE Custom Integrated Circuits Conference 2010, CICC 2010. 5617390. (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
30 Citations (Scopus) -
A 60-GHz injection-locked frequency divider using multi-order LC oscillator topology for wide locking range
Takatsu, K., Tamura, H., Yamamoto, T., Doi, Y., Kanda, K., Shibasaki, T. & Kuroda, T., 2011 Jun, In: IEICE Transactions on Electronics. E94-C, 6, p. 1049-1052 4 p.Research output: Contribution to journal › Article › peer-review
-
A 60MHz 240mW MPEG-4 video-phone LSI with 16Mb embedded DRAM
Nishikawa, T., Takahashi, M., Hamada, M., Takayanagi, T., Arakida, H., Machida, N., Yamamoto, H., Fujiyoshi, T., Matsumoto, Y., Yamagishi, O., Samata, T., Asano, A., Terazawa, T., Ohmori, K., Shirakura, J., Watanabe, Y., Nakamura, H., Minami, S., Kuroda, T. & Furuyama, T., 2000, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. p. 230-231 2 p.Research output: Contribution to journal › Conference article › peer-review
56 Citations (Scopus) -
A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme
Takahashi, M., Hamada, M., Nishikawa, T., Arakida, H., Fujita, T., Hatori, F., Mita, S., Suzuki, K., Chiba, A., Terazawa, T., Sano, F., Watanabe, Y., Usami, K., Igarashi, M., Ishikawa, T., Kanazawa, M., Kuroda, T. & Furuyama, T., 1998 Nov, In: IEEE Journal of Solid-State Circuits. 33, 11, p. 1772-1778 7 p.Research output: Contribution to journal › Article › peer-review
73 Citations (Scopus) -
A 65 f J/b inductive-coupling inter-chip transceiver using charge recycling technique for power-aware 3d system integration
Niitsu, K., Kawai, S., Miura, N., Ishikuro, H. & Kuroda, T., 2008 Dec 1, Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008. p. 97-100 4 p. 4708738. (Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
14 Citations (Scopus) -
A 65fJ/b inter-chip inductive-coupling data transceivers using charge-recycling technique for low-power inter-chip communication in 3-D system integration
Niitsu, K., Kawai, S., Miura, N., Ishikuro, H. & Kuroda, T., 2012, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 20, 7, p. 1285-1294 10 p., 5887438.Research output: Contribution to journal › Article › peer-review
10 Citations (Scopus) -
A 68-year-old phenotypically male patient with 21-hydroxylase deficiency and concomitant adrenocortical neoplasm producing testosterone and cortisol
Hayashi, M., Kataoka, Y., Sugimura, Y., Kato, F., Fukami, M., Ogata, T., Homma, K., Hasegawa, T., Oiso, Y., Sasano, H. & Tanaka, H., 2013, In: Tohoku Journal of Experimental Medicine. 231, 2, p. 75-84 10 p.Research output: Contribution to journal › Article › peer-review
7 Citations (Scopus) -
A 6Gb/s 6pJ/b 5mm-distance non-contact interface for modular smartphones using two-fold transmission-line coupler and EMC-qualified pulse transceiver
Kosuge, A., Ishizuka, S., Kadomoto, J. & Kuroda, T., 2015 Mar 17, 2015 IEEE International Solid-State Circuits Conference, ISSCC 2015 - Digest of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 176-177 2 p. 7062983. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 58).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 6 Gb/s 6 pJ/b 5 mm-Distance Non-Contact Interface for Modular Smartphones Using Two-Fold Transmission Line Coupler and High EMC Tolerant Pulse Transceiver
Kosuge, A., Kadomoto, J. & Kuroda, T., 2016 Jun, In: IEEE Journal of Solid-State Circuits. 51, 6, p. 1446-1456 11 p., 7452385.Research output: Contribution to journal › Article › peer-review
7 Citations (Scopus) -
A 6Gb/s receiver with discrete-time based channel filtering for wireline FDM communications
Takeya, T., Sunaga, K., Yamaguchi, K., Sugita, H., Yoshida, Y., Mizuno, M. & Kuroda, T., 2010 Dec 13, IEEE Custom Integrated Circuits Conference 2010, CICC 2010. 5617600. (Proceedings of the Custom Integrated Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 6Gb/s rotatable non-contact connector with high-speed/I2C/CAN/SPI interface bridge IC
Haraguchi, M., Kosuge, A., Igarashi, T., Masaki, S., Sueda, M., Hamada, M. & Kuroda, T., 2017 Jul 31, 2017 Symposium on VLSI Technology, VLSI Technology 2017. Institute of Electrical and Electronics Engineers Inc., p. C150-C151 7998139. (Digest of Technical Papers - Symposium on VLSI Technology).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 6Gb/s rotatable non-contact connector with high-speed/I2C/CAN/SPI interface bridge IC
Haraguchi, M., Kosuge, A., Igarashi, T., Masaki, S., Sueda, M., Hamada, M. & Kuroda, T., 2017 Aug 10, 2017 Symposium on VLSI Circuits, VLSI Circuits 2017. Institute of Electrical and Electronics Engineers Inc., p. C150-C151 8008575. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 6nW inductive-coupling wake-up transceiver for reducing standby power of non-contact memory card by 500×
Miura, N., Saito, M., Taguchi, M. & Kuroda, T., 2013 Apr 29, 2013 IEEE International Solid-State Circuits Conference, ISSCC 2013 - Digest of Technical Papers. p. 214-215 2 p. 6487705. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 56).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 70-Year-Old Woman With Long-Term Nonresolving Pneumonia
Tomiyasu, S., Kabata, H., Emoto, K., Azekawa, S., Maeda, C., Masai, K., Yasuda, H. & Fukunaga, K., 2022 Apr, In: Chest. 161, 4, p. e219-e223Research output: Contribution to journal › Article › peer-review
Open Access -
A 720μW 873MHz-1.008GHz injection-locked frequency multiplier with 0.3V supply voltage in 90nm CMOS
Liu, L., Ishikawa, K. & Kuroda, T., 2013 Sep 17, 2013 Symposium on VLSI Circuits, VLSIC 2013 - Digest of Technical Papers. p. C140-C141 6578639. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A 73-year-old man with confusion, fever, and positive MPO-ANCA
Nagata, H., Teramoto, K., Suwa, A., Abe, T., Kimura, T. & Shibata, R., 2004 Jun, In: Keio Journal of Medicine. 53, 2, p. 103-114 12 p.Research output: Contribution to journal › Article › peer-review
-
A 73-year-old man with intermittent claudication after stenting in the external iliac artery
Hoshi, T., Yamauchi, T., Hachiya, T., Kanauchi, T. & Imai, K., 2001 Aug 6, In: Journal of Cardiology. 38, 1, p. 47-48 2 p.Research output: Contribution to journal › Article › peer-review
-
A 750 Mb/s, 12 pJ/b, 6-to-10 GHz CMOS IR-UWB transmitter with embedded on-chip antenna
Kulkarni, V. V., Muqsith, M., Niitsu, K., Ishikuro, H. & Kuroda, T., 2009 Feb, In: IEEE Journal of Solid-State Circuits. 44, 2, p. 394-403 10 p., 4768904.Research output: Contribution to journal › Article › peer-review
92 Citations (Scopus) -
A 750Mb/s 12pJ/b 6-to-10GHz Digital UWB Transmitter
Kulkarni, V., Muqsith, M., Ishikuro, H. & Kuroda, T., 2007, Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC 2007. Institute of Electrical and Electronics Engineers Inc., p. 647-650 4 p. 4405815. (Proceedings of the IEEE 2007 Custom Integrated Circuits Conference, CICC 2007).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 75-year-old woman with longstanding hypertension, resulting in congestive heart failure and renal failure.
Handa, S., Yoshikawa, T., Kondoh, T., Wakui, M., Suzuki, H., Yuasa, Y., Kameyama, K. & Hata, J., 1992 Mar, In: The Keio Journal of Medicine. 41, 1, p. 33-39 7 p.Research output: Contribution to journal › Article › peer-review
-
A 7Gb/s/link non-contact memory module for multi-drop bus system using energy-equipartitioned coupled transmission line
Yun, W. J., Nakano, S., Mizuhara, W., Kosuge, A., Miura, N., Ishikuro, H. & Kuroda, T., 2012 May 11, 2012 IEEE International Solid-State Circuits Conference, ISSCC 2012 - Digest of Technical Papers. p. 52-53 2 p. 6176875. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 55).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
21 Citations (Scopus) -
A 90nm CMOS highly linear clock bootstrapped RF sampler operating at wide frequency range of 0.5GHz to 5GHz
Sato, M., Abe, H., Hamada, M., Majima, H., Kuroda, T. & Ishikuro, H., 2009, Proceedings of the 2009 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2009. p. 391-394 4 p. 5135565. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus) -
A-9 analysis of physical strain of baby stroller users in driving environment
Yamada, Y., Gamoh, Y. & Morita, T., 2010 Jul, In: Nihon Kikai Gakkai Ronbunshu, C Hen/Transactions of the Japan Society of Mechanical Engineers, Part C. 76, 767, p. 1804-1811 8 p.Research output: Contribution to journal › Article › peer-review
Open Access -
A 9b 100MS/s 1.46mW SAR ADC in 65nm CMOS
Chen, Y., Tsukamoto, S. & Kuroda, T., 2009 Dec 1, Proceedings of Technical Papers - 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009. p. 145-148 4 p. 5357199. (Proceedings of Technical Papers - 2009 IEEE Asian Solid-State Circuits Conference, A-SSCC 2009).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
48 Citations (Scopus) -
A 9-bit 100-MS/s 1.46-mW tri-level SAR ADC in 65nm CMOS
Chen, Y., Tsukamoto, S. & Kuroda, T., 2010 Dec, In: IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences. E93-A, 12, p. 2600-2608 9 p.Research output: Contribution to journal › Article › peer-review
3 Citations (Scopus) -
A 9-bit 100MS/s SAR ADC with digitally assisted background calibration
Zhu, X., Chen, Y., Tsukamoto, S. & Kuroda, T., 2012 Jun, In: IEICE Transactions on Electronics. E95-C, 6, p. 1026-1034 9 p.Research output: Contribution to journal › Article › peer-review
2 Citations (Scopus) -
A 9-bit 100MS/s tri-level charge redistribution SAR ADC with asymmetric CDAC array
Zhu, X., Chen, Y., Tsukamoto, S. & Kuroda, T., 2012, 2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers. 6212592. (2012 International Symposium on VLSI Design, Automation and Test, VLSI-DAT 2012 - Proceedings of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
AAV-PGIS gene transfer improves hypoxia-induced pulmonary hypertension in mice
Kawakami, T., Kanazawa, H., Satoh, T., Ieda, M., Ieda, Y., Kimura, K., Mochizuki, H., Shimada, T., Yokoyama, C., Ogawa, S., Tanabe, T. & Fukuda, K., 2007 Nov 23, In: Biochemical and Biophysical Research Communications. 363, 3, p. 656-661 6 p.Research output: Contribution to journal › Article › peer-review
12 Citations (Scopus) -
A backflip flap: A new surgical correction for severe cicatricial entropion
Sakamoto, Y., Nakajima, H., Tamada, I., Uchikawa, Y. & Kishi, K., 2010 Oct 1, In: Plastic and Reconstructive Surgery. 126, 4, p. 179e-180eResearch output: Contribution to journal › Comment/debate › peer-review
2 Citations (Scopus) -
A backwardly solvable search equilibrium model
Hokari, T., Makioka, S. & Yao, M., 2013 Aug 30, In: Economics Bulletin. 33, 1, p. 234-246 13 p.Research output: Contribution to journal › Article › peer-review
-
A bacterial metabolite ameliorates periodontal pathogen-induced gingival epithelial barrier disruption via GPR40 signaling
Yamada, M., Takahashi, N., Matsuda, Y., Sato, K., Yokoji, M., Sulijaya, B., Maekawa, T., Ushiki, T., Mikami, Y., Hayatsu, M., Mizutani, Y., Kishino, S., Ogawa, J., Arita, M., Tabeta, K., Maeda, T. & Yamazaki, K., 2018 Dec 1, In: Scientific reports. 8, 1, 9008.Research output: Contribution to journal › Article › peer-review
Open Access31 Citations (Scopus) -
A bacterial salt sensor created by multiplying phenotypes of GroE-depleted Escherichia coli
Fujiwara, K., Aoi, K. B. & Nomura, S. I. M., 2013 Nov 7, In: Analytical Methods. 5, 21, p. 5918-5922 5 p.Research output: Contribution to journal › Article › peer-review
3 Citations (Scopus) -
A bacterium that degrades and assimilates poly(ethylene terephthalate)
Yoshida, S., Hiraga, K., Takehana, T., Taniguchi, I., Yamaji, H., Maeda, Y., Toyohara, K., Miyamoto, K., Kimura, Y. & Oda, K., 2016 Mar 11, In: Science. 351, 6278, p. 1196-1199 4 p.Research output: Contribution to journal › Article › peer-review
1114 Citations (Scopus) -
A bank panic model with a bond market
Maeda, Y. & Sakai, Y., 1998 Dec, In: Japanese Economic Review. 49, 4, p. 440-453 14 p.Research output: Contribution to journal › Article › peer-review
-
A barometric pressure sensor based on the air-gap scale effect in a cantilever
Minh-Dung, N., Takahashi, H., Uchiyama, T., Matsumoto, K. & Shimoyama, I., 2013 Sep 30, In: Applied Physics Letters. 103, 14, 143505.Research output: Contribution to journal › Article › peer-review
17 Citations (Scopus) -
A basic method for classifying humans based on an EEG analysis
Ito, S. I., Mitsukura, Y. & Fukumi, M., 2008 Dec 1, 2008 10th International Conference on Control, Automation, Robotics and Vision, ICARCV 2008. p. 1783-1786 4 p. 4795798. (2008 10th International Conference on Control, Automation, Robotics and Vision, ICARCV 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
3 Citations (Scopus)