検索コンセプト
|
選択されたフィルタ
|
検索結果
-
A 0.7V intermittently operating LNA with optimal on-time controller for pulse-based inductive-coupling transceiver
Jyo, T., Kuroda, T. & Ishikuro, H., 2013 9月 9, Proceedings of the 2013 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2013. p. 21-24 4 p. 6569511. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).研究成果: Conference contribution
1 被引用数 (Scopus) -
A 0.8V 1.1pJ/bit inductive-coupling receiver with pulse extracting clock recovery circuit and intermittently operating LNA
Jyo, T., Kuroda, T. & Ishikuro, H., 2013 5月 1, RSW 2013 - 2013 IEEE Radio and Wireless Symposium - RWW 2013. p. 217-219 3 p. 6486693. (IEEE Radio and Wireless Symposium, RWS).研究成果: Conference contribution
3 被引用数 (Scopus) -
A 0.8-μm BiCMOS sea-of-gates implementation of the tandem banyan fast packet switch
Chiussi, F. M., Amano, H. & Tobagi, F. A., 1991 12月 1, Proceedings of the Custom Integrated Circuits Conference. Publ by IEEE, (Proceedings of the Custom Integrated Circuits Conference).研究成果: Conference contribution
2 被引用数 (Scopus) -
A 0.9-V, 150-MHz, 10-mW, 4 mm", 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme
Kuroda, T., Fujita, T., Mita, S., Nagamatsu, T., Yoshioka, S., Suzuki, K., Sano, F., Norishima, M., Murota, M., Kako, M., Kinugawa, M., Kakumu, M. & Sakurai, T., 1996 11月, In: IEEE Journal of Solid-State Circuits. 31, 11, p. 1770-1777 8 p.研究成果: Article › 査読
319 被引用数 (Scopus) -
A 0.9-V, 150-MHz, 10-mW, 4 mm2, 2-D Discrete Cosine Transform Core Processor with Variable Threshold-Voltage (VT) Scheme
Kuroda, T., Fujita, T., Mita, S., Nagamatsu, T., Yoshioka, S., Suzuki, K., Sano, F., Norishima, M., Murota, M., Kako, M., Kinugawa, M., Kakumu, M. & Sakurai, T., 1998 1月 1, Low-Power CMOS Design. John Wiley and Sons Inc., p. 97-104 8 p.研究成果: Chapter
-
A 1.26mW/Gbps 8 locking cycles versatile all-digital CDR with TDC combined DLL
Urano, Y., Yun, W. J., Kuroda, T. & Ishikuro, H., 2013 9月 9, 2013 IEEE International Symposium on Circuits and Systems, ISCAS 2013. p. 1576-1579 4 p. 6572161. (Proceedings - IEEE International Symposium on Circuits and Systems).研究成果: Conference contribution
9 被引用数 (Scopus) -
A 1.2Gb/s/pin wireless superconnect based on inductive inter-chip signaling (IIS)
Mizoguchi, D., Yusof, Y. B., Miura, N., Sakurai, T. & Kuroda, T., 2003 12月 1, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 47, p. 104-105 2 p.研究成果: Conference article › 査読
4 被引用数 (Scopus) -
A 1.2Gb/s/pin wireless superconnect based on Inductive Inter-chip Signaling (IIS)
Mizoguchi, D., Yusof, Y. B., Miura, N., Sakurai, T. & Kuroda, T., 2004 6月 2, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 47, p. 142-143+131+517研究成果: Conference article › 査読
84 被引用数 (Scopus) -
A 1.2 Gbps non-contact 3D-stacked inter-chip data communications technology
Mizoguchi, D., Miura, N., Sakurai, T. & Kuroda, T., 2006, In: IEICE Transactions on Electronics. E89-C, 3, p. 320-326 7 p.研究成果: Article › 査読
-
A 1.2-V CMOS complex bandpass filter with a tunable center frequency
Majima, H., Ishikuro, H., Agawa, K. & Hamada, M., 2005 12月 1, Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference. p. 327-330 4 p. 1541626. (Proceedings of ESSCIRC 2005: 31st European Solid-State Circuits Conference).研究成果: Conference contribution
14 被引用数 (Scopus) -
A 1.5 Gbit/s GaAs Four-Channel Selector LSI with Monolithically Integrated Newly Structured GaAs Ohmic Contact MSM Photodetector and Laser Driver
Yamanaka, N. & Takada, T., 1989 10月, In: IEEE Photonics Technology Letters. 1, 10, p. 310-312 3 p.研究成果: Article › 査読
6 被引用数 (Scopus) -
A 1.6 GS/s 3.17 mW 6-b passive pipelined binary-search ADC with memory effect canceller and reference voltage calibration
Tanaka, K., Saito, R. & Ishikuro, H., 2015 10月 30, ESSCIRC 2015 - Proceedings of the 41st European Solid-State Circuits Conference. Dielacher, F., Pribyl, W. & Hueber, G. (eds.). IEEE Computer Society, p. 327-330 4 p. 7313893. (European Solid-State Circuits Conference; vol. 2015-October).研究成果: Conference contribution
4 被引用数 (Scopus) -
A 1.8-Gb/s GaAs Optoelectronic Universal Switch LSI with Monolithically Integrated Photodetector and Laser Driver
Yamanaka, N., Kikuchi, S. & Takada, T., 1992 8月, In: Journal of Lightwave Technology. 10, 8, p. 1162-1166 5 p.研究成果: Article › 査読
3 被引用数 (Scopus) -
A 100-Gb/s throughput ATM switch MCM with a 320-channel parallel optical I/O interface
Kawano, R., Yamanaka, N., Oki, E., Yasukawa, S., Okazaki, K., Ohki, A., Usui, M., Sato, N., Katsura, K., Ando, Y., Kagawa, T. & Hikita, M., 2001 2月, In: IEEE Transactions on Advanced Packaging. 24, 1, p. 91-98 8 p.研究成果: Article › 査読
2 被引用数 (Scopus) -
A 100-Gb-Ethernet subsystem for next-generation metro-area network
Toyoda, H., Nishimura, S., Okuno, M., Yamaoka, R. & Nishi, H., 2005 9月 15, In: IEEE International Conference on Communications. 2, p. 1036-1042 7 p.研究成果: Conference article › 査読
4 被引用数 (Scopus) -
A 100Mb/s 13.7pJ/bit DC-960MHz band plesiochronous IR-UWB receiver with Costas-loop based synchronization scheme in 65nm CMOS
Liu, L., Ishikuro, H. & Kuroda, T., 2012 11月 26, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012. 6330586. (Proceedings of the Custom Integrated Circuits Conference).研究成果: Conference contribution
-
A 107pJ/b 100kb/s 0.18μm capacitive-coupling transceiver for printable communication sheel
Liu, L., Takamiya, M., Sekitani, T., Noguchi, Y., Nakano, S., Zaitsu, K., Kuroda, T., Someya, T. & Sakurai, T., 2008, 2008 IEEE International Solid State Circuits Conference - Digest of Technical Papers, ISSCC. Institute of Electrical and Electronics Engineers Inc., p. 291-293 3 p. 4523172. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 51).研究成果: Conference contribution
13 被引用数 (Scopus) -
A 107-pJ/bit 100-kb/s 0.18-μm capacitive-coupling transceiver with data edge signaling and DC power-free pulse detector for printable communication sheet
Liu, L., Takamiya, M., Sekitani, T., Noguchi, Y., Nakano, S., Zaitsu, K., Kuroda, T., Someya, T. & Sakurai, T., 2009, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 56, 11, p. 2511-2518 8 p., 4785181.研究成果: Article › 査読
3 被引用数 (Scopus) -
A 10-bit 80-MS/s decision-select successive approximation TDC in 65-nm CMOS
Chung, H., Ishikuro, H. & Kuroda, T., 2012 5月, In: IEEE Journal of Solid-State Circuits. 47, 5, p. 1232-1241 10 p., 6151852.研究成果: Article › 査読
57 被引用数 (Scopus) -
A 10 Gb/s firewall system for network security in photonic era
Katayama, M., Kai, H., Yoshida, J., Inami, M., Yamada, H., Shiomoto, K. & Yamanaka, N., 2005, In: IEICE Transactions on Communications. E88-B, 5, p. 1914-1919 6 p.研究成果: Article › 査読
5 被引用数 (Scopus) -
A 10Gb/s receiver with equalizer and on-chip ISI monitor in 0.11μm CMOS
Tomita, Y., Kibune, M., Ogawa, J., Walker, W. W., Tamura, H. & Kuroda, T., 2004 9月 29, p. 202-205. 4 p.研究成果: Paper › 査読
23 被引用数 (Scopus) -
A 10-Gb/s receiver with series equalizer and on-chip ISI monitor in 0.11-μm CMOS
Tomita, Y., Kibune, M., Ogawa, J., Walker, W. W., Tamura, H. & Kuroda, T., 2005 4月, In: IEEE Journal of Solid-State Circuits. 40, 4, p. 986-993 8 p.研究成果: Article › 査読
52 被引用数 (Scopus) -
A 10Gb/s wire-speed firewall system using reconfigurable processors
Katayama, M., Kai, H., Yoshida, J., Yamada, H., Shiomoto, K. & Yamanaka, N., 2005, In: IEEE International Conference on Communications. 1, p. 300-305 6 p.研究成果: Conference article › 査読
1 被引用数 (Scopus) -
A 12.4TOPS/W, 20% Less Gate Count Bidirectional Phase Domain MAC Circuit for DNN Inference Applications
Toyama, Y., Yoshioka, K., Ban, K., Sai, A. & Onizuka, K., 2018 12月 14, 2018 IEEE Asian Solid-State Circuits Conference, A-SSCC 2018 - Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 1-4 4 p. 8579253. (2018 IEEE Asian Solid-State Circuits Conference, A-SSCC 2018 - Proceedings).研究成果: Conference contribution
2 被引用数 (Scopus) -
A 12.5Gb/s/link non-contact multi drop bus system with impedance-matched transmission line couplers and dicode partial-response channel transceivers
Kosuge, A., Mizuhara, W., Miura, N., Taguchi, M., Ishikuro, H. & Kuroda, T., 2012 11月 26, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012. 6330611. (Proceedings of the Custom Integrated Circuits Conference).研究成果: Conference contribution
7 被引用数 (Scopus) -
A 12.5Gb/s/link non-contact multi drop bus system with impedance-matched transmission line couplers and dicode partial-response channel transceivers
Kosuge, A., Mizuhara, W., Miura, N., Taguchi, M., Ishikuro, H. & Kuroda, T., 2013 5月 20, 2013 18th Asia and South Pacific Design Automation Conference, ASP-DAC 2013. p. 91-92 2 p. 6509571. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).研究成果: Conference contribution
1 被引用数 (Scopus) -
A 12.5 Gbps CDR with differential to common converting edge detector for the wired and wireless serial link
Kohira, K. & Ishikuro, H., 2016 4月, In: IEICE Transactions on Electronics. E99C, 4, p. 458-465 8 p.研究成果: Article › 査読
2 被引用数 (Scopus) -
A 12Gb/s non-contact interface with coupled transmission lines
Takeya, T., Nan, L., Nakano, S., Miura, N., Ishikuro, H. & Kuroda, T., 2011 5月 12, 2011 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2011. p. 492-493 2 p. 5746411. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).研究成果: Conference contribution
24 被引用数 (Scopus) -
A 12-Gb/s non-contact interface with coupled transmission lines
Takeya, T., Nan, L., Nakano, S., Miura, N., Ishikuro, H. & Kuroda, T., 2013, In: IEEE Journal of Solid-State Circuits. 48, 3, p. 790-800 11 p., 6466437.研究成果: Article › 査読
12 被引用数 (Scopus) -
A 13.56-MHz Wireless Power Transfer System With Enhanced Load-Transient Response and Efficiency by Fully Integrated Wireless Constant-Idle-Time Control for Biomedical Implants
Huang, C., Kawajiri, T. & Ishikuro, H., 2018 2月, In: IEEE Journal of Solid-State Circuits. 53, 2, p. 538-551 14 p., 8116746.研究成果: Article › 査読
40 被引用数 (Scopus) -
A 13b SAR ADC with eye-opening VCO based comparator
Yoshioka, K. & Ishikuro, H., 2014 10月 31, ESSCIRC 2014 - Proceedings of the 40th European Solid-State Circuit Conference. Andreani, P., Bevilacqua, A. & Meneghesso, G. (eds.). IEEE Computer Society, p. 411-414 4 p. 6942109. (European Solid-State Circuits Conference).研究成果: Conference contribution
27 被引用数 (Scopus) -
A 13-week toxicity study of bismuth in rats by intratracheal intermittent administration
Sano, Y., Satoh, H., Chiba, M., Shinohara, A., Okamoto, M., Serizawa, K., Nakashima, H. & Omae, K., 2005 5月, In: Journal of occupational health. 47, 3, p. 242-248 7 p.研究成果: Article › 査読
9 被引用数 (Scopus) -
A 14-GHz AC-coupled clock distribution scheme with phase averaging technique using single LC-VCO and distributed phase interpolators
Niitsu, K., Kulkarni, V. V., Kang, S., Ishikuro, H. & Kuroda, T., 2011 11月, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 19, 11, p. 2058-2066 9 p., 5590276.研究成果: Article › 査読
4 被引用数 (Scopus) -
A 14-year-old healthy boy with splenic abscess due to Salmonella enterica serovar Senftenberg
Namba, S., Matsubara, K., Asagai, S., Shoji, M., Okada, T., Matsushima, T., Komiyama, O. & Iwata, S., 2010 1月, In: Kansenshōgaku zasshi. The Journal of the Japanese Association for Infectious Diseases. 84, 1, p. 69-72 4 p.研究成果: Article › 査読
Open Access1 被引用数 (Scopus) -
A 14-year review of orbital tumor in 56 infants and children
Noda, M., Araki, B. & Nakamura, Y., 2003, In: Japanese Journal of Clinical Ophthalmology. 57, 6, p. 951-954 4 p.研究成果: Article › 査読
-
A 150 nm ultraviolet excitation volume on a porous silicon membrane for direct optical observation of dna coil relaxation during capture into nanopores
Yamazaki, H., Esashika, K. & Saiki, T., 2017 6月 1, In: Nano Futures. 1, 1, 011001.研究成果: Letter › 査読
5 被引用数 (Scopus) -
A 160 GB/s ATM switch using internal speed-up crossbar switch architecture
Genda, K., Yamanaka, N., Doi, Y. & Endo, K., 1997 9月, In: Electronics and Communications in Japan, Part I: Communications (English translation of Denshi Tsushin Gakkai Ronbunshi). 80, 9, p. 68-79 12 p.研究成果: Article › 査読
-
A 192Arg variant of the human paraoxonase (HUMPONA) gene polymorphism is associated with an increased risk for coronary artery disease in the Japanese
Zama, T., Murata, M., Matsubara, Y., Kawano, K., Aoki, N., Yoshino, H., Watanabe, G., Ishikawa, K. & Ikeda, Y., 1997, In: Arteriosclerosis, Thrombosis, and Vascular Biology. 17, 12, p. 3565-3569 5 p.研究成果: Article › 査読
151 被引用数 (Scopus) -
A 195Gb/s 1.2W 30-stacked inductive inter-chip wireless superconnect with transmit power control scheme
Miura, N., Mizoguchi, D., Inoue, M., Tsuji, H., Sakurai, T. & Kuroda, T., 2005 12月 6, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 48, p. 210-211+602 14.5.研究成果: Conference article › 査読
8 被引用数 (Scopus) -
A 195Gb/s 1.2W 3D-stacked inductive inter-chip wireless superconnect with transmit power control scheme
Miura, N., Mizoguchi, D., Inoue, M., Tsuji, H., Sakurai, T. & Kuroda, T., 2005 12月 6, In: Digest of Technical Papers - IEEE International Solid-State Circuits Conference. 48, p. 264-265+597研究成果: Conference article › 査読
48 被引用数 (Scopus) -
A 195-gb/s 1.2-W inductive inter-chip wireless superconnect with transmit power control scheme for 3-D-stacked system in a package
Miura, N., Mizoguchi, D., Inoue, M., Sakurai, T. & Kuroda, T., 2006 1月, In: IEEE Journal of Solid-State Circuits. 41, 1, p. 23-34 12 p.研究成果: Article › 査読
62 被引用数 (Scopus) -
A1-A2 splitting of CH3D
Abe, M., Sera, H. & Sasada, H., 2015 6月 1, In: Journal of Molecular Spectroscopy. 312, p. 90-96 7 p.研究成果: Article › 査読
5 被引用数 (Scopus) -
A 1 GHz CMOS comparator with dynamic offset control technique
Zhu, X., Tsukamoto, S. & Kuroda, T., 2009, Proceedings of the ASP-DAC 2009: Asia and South Pacific Design Automation Conference 2009. p. 103-104 2 p. 4796453. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).研究成果: Conference contribution
3 被引用数 (Scopus) -
A 1 Tb/s/mm2 inductive-coupling side-by-side chip link
Hasegawa, S., Kadomoto, J., Kosuge, A. & Kuroda, T., 2016 10月 18, ESSCIRC 2016: 42nd European Solid-State Circuits Conference. IEEE Computer Society, Vol. 2016-October. p. 469-472 4 p. 7598343研究成果: Conference contribution
5 被引用数 (Scopus) -
A 1 TB/s 1 pJ/b 6.4 mm 2/TB/s QDR inductive-coupling interface between 65-nm CMOS logic and emulated 100-nm DRAM
Miura, N., Saito, M. & Kuroda, T., 2012, In: IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2, 2, p. 249-256 8 p., 6199998.研究成果: Article › 査読
22 被引用数 (Scopus) -
A 1Tb/s 3W inductive-coupling transceiver chip
Miura, N. & Kuroda, T., 2007 12月 1, Proceedings of the ASP-DAC 2007 - Asia and South Pacific Design Automation Conference 2007. p. 92-93 2 p. 4196002. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC).研究成果: Conference contribution
12 被引用数 (Scopus) -
A 1 Tb/s 3 W inductive-coupling transceiver for 3D-stacked inter-chip clock and data link
Miura, N., Mizoguchi, D., Inoue, M., Niitsu, K., Nakagawa, Y., Tago, M., Fukaishi, M., Sakurai, T. & Kuroda, T., 2007 1月, In: IEEE Journal of Solid-State Circuits. 42, 1, p. 111-121 11 p.研究成果: Article › 査読
42 被引用数 (Scopus) -
A 1Tb/s 3W inductive-coupling transceiver for inter-chip clock and data link
Miura, N., Mizoguchi, D., Inoue, M., Niitsu, K., Nakagawa, Y., Tago, M., Fukaishi, M., Sakurai, T. & Kuroda, T., 2006, 2006 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. p. 424+417 1696223. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).研究成果: Conference contribution
86 被引用数 (Scopus) -
A 1-V 299μW flashing UWB transceiver based on double thresholding scheme
Tamtrakarn, A., Ishikuro, H., Ishida, K., Takamiya, M. & Sakurai, T., 2006, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers. p. 202-203 2 p. 1705380. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).研究成果: Conference contribution
28 被引用数 (Scopus) -
A 2.0Mb microdeletion in proximal chromosome 14q12, involving regulatory elements of FOXG1, with the coding region of FOXG1 being unaffected, results in severe developmental delay, microcephaly, and hypoplasia of the corpus callosum
Takagi, M., Sasaki, G., Mitsui, T., Honda, M., Tanaka, Y. & Hasegawa, T., 2013 9月, In: European Journal of Medical Genetics. 56, 9, p. 526-528 3 p.研究成果: Article › 査読
13 被引用数 (Scopus)